Fabero Jiménez, Juan CarlosMecha López, HortensiaVoicila, Bogdan Gabriel2023-06-172023-06-172020https://hdl.handle.net/20.500.14352/10313Trabajo Fin de Grado en Grado en Ingeniería de Computadores. Facultad de informática UCM, Departamento de Arquitectura de Computadoras y Automática. Curso 2019/2020Las memorias RAM resistivas, una tecnología de memoria actualmente en investigación, permiten, entre otras ventajas, conservar los datos sin necesidad de alimentación. Este hecho puede hacer posible un análisis postmortem de los datos almacenados cuando el sistema se apaga, lo que podría plantear problemas de privacidad en aplicaciones con datos críticos, como los datos médicos de un paciente. Por otra parte, el Leon3 es un diseño hardware de un procesador de propósito general de código abierto en VHDL. Puede ser implementado tanto en ASIC como en FPGA. Para evitar el intrusismo en los datos críticos, este proyecto cubre el diseño y desarrollo de un sistema de cifrado localizado en el procesador Leon3. Su principal función será ofuscar la información entre el controlador de memoria y el chip físico de memoria usando distintas técnicas.Resistive random-access memory, is a technology currently on development. It allows saving data on chips even if power supply is lost. This fact makes possible a postmortem analysis of stored data when system is brought offline. This involves some privacy issues on mission critical applications like storage of patient’s medical data. On the other side, Leon3 is an open source processor hardware design for general purpose developed using VHDL. It may be implemented as ASIC or FPGA. In order to avoid critical data breaches, this project will cover the design and development for a cryptographic system located in Leon3 processor. Its primary function will be data obfuscation between memory controller and physical RAM chip using different techniques.spaAtribución-NoComercial 3.0 EspañaCifrado de memoria en un procesador Leon-3Memory encryption in the Leon-3 processorbachelor thesisopen access004(043.3)Leon 3vhdlsparc v8SDRAMcontrolador memoriaddr2spacifradoNexys 4 DDRGrlibGrmonVivadobcc2memory controllerencryptionInformática (Informática)1203.17 Informática