García García, AdriánSáez Alcaide, Juan CarlosCastro Rodríguez, FernandoPrieto Matías, Manuel2024-01-252024-01-252019-08-05A. Garcia-Garcia, J.C. Saez, F. Castro, and M. Prieto-Matias. 2019. LFOC: A Lightweight Fairness-Oriented Cache Clustering Policy for Commodity Multicores. In Proceedings of the 48th International Conference on Parallel Processing (ICPP '19). Association for Computing Machinery, New York, NY, USA, Article 14, 1–10. https://doi.org/10.1145/3337821.3337925978-1-4503-6295-510.1145/3337821.3337925https://hdl.handle.net/20.500.14352/95529Multicore processors constitute the main architecture choice for modern computing systems in different market segments. Despite their benefits, the contention that naturally appears when multiple applications compete for the use of shared resources among cores, such as the last-level cache (LLC), may lead to substantial performance degradation. This may have a negative impact on key system aspects such as throughput and fairness. Assigning the various applications in the workload to separate LLC partitions with possibly different sizes, has been proven effective to mitigate shared-resource contention effects. In this article we propose LFOC, a clustering-based cache partitioning scheme that strives to deliver fairness while providing acceptable system throughput. LFOC leverages the Intel Cache Allocation Technology (CAT), which enables the system software to divide the LLC into different partitions. To accomplish its goals, LFOC tries to mimic the behavior of the optimal cache-clustering solution, which we could approximate by means of a simulator in different scenarios. To this end, LFOC effectively identifies streaming aggressor programs and cache sensitive applications, which are then assigned to separate cache partitions. We implemented LFOC in the Linux kernel and evaluated it on a real system featuring an Intel Skylake processor, where we compare its effectiveness to that of two state-of-the-art policies that optimize fairness and throughput, respectively. Our experimental analysis reveals that LFOC is able to bring a higher reduction in unfairness by leveraging a lightweight algorithm suitable for adoption in a real OS.engLFOC: A Lightweight Fairness-Oriented Cache Clustering Policy for Commodity Multicoresconference paperhttps://dl.acm.org/doi/10.1145/3337821.3337925open accessMulticore processorsCache-partitioningClusteringFairnessIntel cache allocation technologyLinux kernelOperating systemSistemas operativos (Ordenadores)1203.17 Informática