Fabero Jiménez, Juan CarlosOlcoz Herrero, KatzalinGuaitoune Akdi, BadrLedesma Ventura, Daniel2023-06-172023-06-172021-06-01https://hdl.handle.net/20.500.14352/10515Trabajo de Fin de Grado en Ingeniería de Computadores , Facultad de Informática UCM, Departamento de Arquitectura de Computadores y Automática, Curso 2020-21.A medida que avance el tiempo y aparezcan más memorias RAM no volátiles, es decir, aquellas memorias que, aunque se les interrumpa el flujo de corriente no pierden la información grabada en ellas, al contrario de lo que pasa en las memorias volátiles, será posible el análisis de los datos grabados en ellas, incluyendo aquellos datos de carácter privado como contraseñas o datos bancarios. El objetivo del proyecto es dotar al procesador RISC-V de los mecanismos adecuados para que los datos en memoria se encuentren cifrados imposibilitando dicho análisis, para ello, manejaremos un encriptado a través de registros mediados por claves generadas aleatoriamente. Para ello, hemos tenido que adquirir unos conocimientos previos, como entender la arquitectura interna del RISC-V, ver sus componentes y como se comunican y entender la gestión que hace de la memoria interna con sus señales.As time progresses and more non-volatile RAM memories appear, that is, those memories that even if they lose the flow of electricity do not lose the data recorded in them, contrary to what happens in volatile memories, it will be posible to analyze the data recorded in them, including those prívate data such as passwords or bank details. The aim of the project is to provide the RISC-V processor with the appropiate mechanisms so that the memory data is encrypted, making such annalysis imposible. To do this, we will handle encryption through randomly generated key-mediated logs. For this Project, we have had to acquire some previous knowledge, such as understanding the internal architecture of RISC-V, see its components and how they communicate and understand the management that does of the internal memory with its signals.spaAtribución-NoComercial 3.0 EspañaImplementación de un procesador RISC-V en una FPGA de tipo ARTIX-7. Dotar al procesador de mecanismos de cifrado de memoria.RISC-V proccessor implementation over FPGA and memory encryptionbachelor thesisopen access004(043.3)VerilogFPGAArtix-7CifradoMemoriaRISC-VVivadoBus AXIRAMSweRV Core.EncryptionMemoryAXI BusInformática (Informática)1203.17 Informática